Number of the records: 1  

Dry etching with gas chopping without rippled sidewalls

  1. TitleDry etching with gas chopping without rippled sidewalls
    Author Volland B.
    Co-authors Shi F.

    Hudek Peter 1953- SAVINFO - Ústav informatiky SAV    SCOPUS    RID    ORCID

    Heerlein H.

    Rangelow I.W.

    Source document Journal of Vacuum Science and Technology B. Vol. 17, no. 6 (1999), p. 2768-2771
    Languageeng - English
    CountryUS - United States of America
    Document kindrozpis článkov z periodík (rbx)
    CitationsHATSUZAWA, Takeshi - HIROSAWA, Minoru - HAYASE, Masanori - OGUCHI, Toshiaki. A study on a mechanical vibration assisted plasma etching (2nd report) Etching rate improvement by an enforced vibration mechanism. In Seimitsu Kogaku Kaishi/Journal of the Japan Society for Precision Engineering. ISSN 09120289, 2001-10-01, 67, 10, pp. 1687-1692.
    KOK, KW - YOO, WJ - SOORIAKUMAR, K. In situ trench etching and releasing technique of high aspect ratio beams using magnetically enhanced reactive ion etching. In JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B. ISSN 1071-1023, 2002, vol. 20, no. 1, pp. 154.
    CHAN, I - NATHAN, A. Dry etch process optimization for small-area a-Si : H vertical thin film transistor. In JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A-VACUUM SURFACES AND FILMS. ISSN 0734-2101, 2002, vol. 20, no. 3, pp. 962.
    TAKESHI, H - MASANORI, H - TOSHIAKI, O. Mechanical vibration assisted plasma etching for etch rate and anisotropy improvement. In PRECISION ENGINEERING-JOURNAL OF THE INTERNATIONAL SOCIETIES FOR PRECISION ENGINEERING AND NANOTECHNOLOGY. ISSN 0141-6359, 2002, vol. 26, no. 4, pp. 442.
    BLAUW, MA - CRACIUN, G - SLOOF, WG - FRENCH, PJ - VAN DER DRIFT, E. Advanced time-multiplexed plasma etching of high aspect ratio silicon structures. In JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B. ISSN 1071-1023, 2002, vol. 20, no. 6, pp. 3106.
    HILLMER, H - DALEIDEN, J - PROTT, C - IRMER, S - ROMER, F - ATARO, E - TARRAF, A - RUHLING, H - MANIAK, M - STRASSNER, M. Bionics: Precise color tuning by interference in nature and technology applications in surface-micromachined 1.55 mu m vertical air-cavity filters. In MOEMS AND MINATURIZED SYSTEMS III. ISSN 0277-786X, 2003, vol. 4983, no., pp. 203.
    PRATHER, DW - MURAKOWSKI, J - VENKATARAMAN, S - PENG, Y - BALCHA, A - DILLON, T - PUSTAI, D. Novel fabrication methods for 2D photonic crystals in silicon slab waveguides. In MICROMACHINING TECHNOLOGY FOR MICRO-OPTICS AND NANO-OPTICS. ISSN 0277-786X, 2003, vol. 4984, no., pp. 89.
    HILLMER, H - DALEIDEN, J - IRMER, S - ROMER, F - PROTT, C - TARRAF, A - STRASSNER, M - ATARO, E - SCHOLZ, T. Potential of micromachined photonics: Miniaturization, scaling and applications in continuously tunable vertical air-cavity filters. In LASER DIODES, OPTOELECTRONIC DEVICES, AND HETEROGENOUS INTEGRATION. ISSN 0277-786X, 2003, vol. 4947, no., pp. 197.
    DALEIDEN, J - HILLMER, H. Multiple air-gap filters and constricted mesa lasers material processing meets the front of optical device technology. In APPLIED PHYSICS B-LASERS AND OPTICS. ISSN 0946-2171, 2003, vol. 76, no. 8, pp. 821.
    FENG, Xiaojun - TOKRANOVA, Natalya - XU, Bai - CHEN, Peng - GILLIS, Kevin - CASTRACANE, James. Micro-array development for cell secretion studies. In Proceedings of SPIE The International Society for Optical Engineering. ISSN 0277786X, 2003-05-26, 4982, pp. 37-44.
    WENG, KY - WANG, MY - TSAI, PH. Planarize the sidewall ripples of silicon deep reactive ion etching. In NSTI NANOTECH 2004, VOL 1, TECHNICAL PROCEEDINGS, 2004, vol., no., pp. 473.
    MIN, JH - LEE, JK - MOON, SH. Deep etching of silicon with smooth sidewalls by an improved gas-chopping process using a Faraday cage and a high bias voltage. In JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B. ISSN 1071-1023, 2005, vol. 23, no. 4, pp. 1405.
    ABDOLVAND, Reza - AYAZI, Farrokh. Single-mask reduced-gap capacitive micromachined devices. In Proceedings of the IEEE International Conference on Micro Electro Mechanical Systems (MEMS). ISSN 10846999, 2005-10-25, pp. 151-154.
    ABDOLVAND, Reza - AYAZI, Farrokh. A gap reduction and manufacturing technique for thick oxide mask layers with multiple-size sub-mu m openings. In JOURNAL OF MICROELECTROMECHANICAL SYSTEMS. ISSN 1057-7157, 2006, vol. 15, no. 5, pp. 1139.
    KURAPOVA, O. - LENGELER, B. - SCHROER, C. G. - KUECHLER, M. - GESSNER, T. - VAN DER HART, A. Optimized fabrication of silicon nanofocusing x-ray lenses using deep reactive ion etching. In JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B. ISSN 1071-1023, 2007, vol. 25, no. 5, pp. 1626.
    MORRISH, Rachel - WITVROUW, Ann - MUSCAT, Anthony J. Kinetic to transport-limited anhydrous HF etching of silicon oxynitride films in Supercritical CO2. In JOURNAL OF PHYSICAL CHEMISTRY C. ISSN 1932-7447, 2007, vol. 111, no. 42, pp. 15251.
    MIMURA, Hidenori - SHIOZAWA, Kazufumi - NEO, Yoichiro - OKADA, Morihiro - TAKAHASI, Masafumi - HASHIGUCHI, Gen. Electron-beam-pumped light sources using graphite nanoneedle field emitters and Si electron-transparent films. In IEEJ Transactions on Electrical and Electronic Engineering. ISSN 19314973, 2007-05-01, 2, 3, pp. 272-277.
    AGARWAL, R. Low Temperature Hermetically Sealed 3-D MEMS Device for Wireless Optical Communication. PhD Thesis, University of South Florida. 2007, 181 p.
    RHEE, Hyongmoo - KWON, Hyeokkyu - KIM, Chang-Koo - KIM, HyunJung - YOO, Jaisuk - KIM, Yil Wook. Comparison of deep silicon etching using SF6/C4F8 and SF6/C4F6 plasmas in the Bosch process. In JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B. ISSN 1071-1023, 2008, vol. 26, no. 2, pp. 576.
    FRANQUET, A. - CLAES, M. - CONARD, T. - KESTERS, E. - VEREECKE, G. - VANDERVORST, W. Characterization of post-etched photoresist and residues by various analytical techniques. In APPLIED SURFACE SCIENCE. ISSN 0169-4332, 2008, vol. 255, no. 4, pp. 1408.
    MUKHERJEE, P.R. Modeling and technology development for sensitive near-solar particle measurements. PhD Thesis, University of Michigan. 2008, 199 p.
    RHEE, Hyongmoo - LEE, Hae Min - NAMKOUNG, Yun Mi - KIM, Chang-Koo - CHAE, Heeyeop - KIM, Yil Wook. Dependence of etch rates of silicon substrates on the use of C(4)F(8) and C(4)F(6) plasmas in the deposition step of the Bosch process. In JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B. ISSN 1071-1023, 2009, vol. 27, no. 1, pp. 33.
    JANSEN, H. V. - DE BOER, M. J. - UNNIKRISHNAN, S. - LOUWERSE, M. C. - ELWENSPOEK, M. C. Black silicon method X: a review on high speed and selective plasma etching of silicon with profile control: an in-depth comparison between Bosch and cryostat DRIE processes as a roadmap to next generation equipment. In JOURNAL OF MICROMECHANICS AND MICROENGINEERING. ISSN 0960-1317, 2009, vol. 19, no. 3, pp.
    GOLKA, S. - ARENS, M. - REETZ, M. - KWAPIEN, T. - BOUCHOULE, S. - PATRIARCHE, G. Time-multiplexed, inductively coupled plasma process with separate SiCl(4) and O(2) steps for etching of GaAs with high selectivity. In JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B. ISSN 1071-1023, 2009, vol. 27, no. 5, pp. 2270.
    RICHTER, K. - FISCHER, D. Method for structuring of silicon substrates for microsystem technological device elements and associated silicon substrate. 2009, United States Patent No. 7498266 B2.
    SCHUMANN, Wiebke. Ätzung und Charakterisierung von Strukturen mit hohem Aspektverhältnis in Silizium am Beispiel von Through-Wafer-Via-Holes. PhD Thesis. Universitätsbibliothek Ilmenau. 2009, 117 p.
    WU, Banqiu - KUMAR, Ajay - PAMARTHY, Sharma. High aspect ratio silicon etch: A review. In JOURNAL OF APPLIED PHYSICS. ISSN 0021-8979, 2010, vol. 108, no. 5, pp.
    ST-GELAIS, Raphael - POULIN, Alexandre - PETER, Yves-Alain. Advances in Modeling, Design, and Fabrication of Deep-Etched Multilayer Resonators. In JOURNAL OF LIGHTWAVE TECHNOLOGY. ISSN 0733-8724, 2012, vol. 30, no. 12, pp. 1900.
    ST-GELAIS, R. Fundamentals and applications of on-chip interferometers based on deep-etched silicon-air multilayer reflectors. PhD Thesis, École Polytechnique de Montréal. 2012, 167 p.
    JIANG, Feng - LEI, Yunwen - YU, Jun. Research of Dielectric Breakdown Microfluidic Sampling Chip. In JOURNAL OF NANOMATERIALS. ISSN 1687-4110, 2013, vol., no., pp.
    SHINOHARA, Hidetoshi - GOTO, Hiroshi - KASAHARA, Takashi - MIZUNO, Jun. Fabrication of a Polymer High-Aspect-Ratio Pillar Array Using UV Imprinting. In MICROMACHINES. ISSN 2072-666X, 2013, vol. 4, no. 2, pp. 157.
    JI, J.M. - CHO, S.-W. - KIM, C-K. Effects of Ar Addition on the Etch Rates and Etch Profiles of Si Substrates During the Bosch Process. In Korean Chemical Engineering Researc., 2013, vol. 51, no. 6, pp. 755-759.
    KAPLAN, A.F. Subwavelength elements and plasmonic structures for spectral filtering. PhD Thesis, University of Michigan. 2013, 130 p.
    MOROZ, P. - MOROZ, D. J. Numerical Simulation of Bosch Processing for Deep Silicon Plasma Etching. In 13TH HIGH-TECH PLASMA PROCESSES CONFERENCE (HTPP-2014). ISSN 1742-6588, 2014, vol. 550, no., pp.
    LEE, Gibaek - SCHWEIZER, Stefan L. - WEHRSPOHN, Ralf B. Electrochemical characteristics of plasma-etched black silicon as anodes for Li-ion batteries. In JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A. ISSN 0734-2101, 2014, vol. 32, no. 6, pp.
    LEE, Gibaek - SCHWEIZER, Stefan L. - WEHRSPOHN, Ralf B. CMOS-compatible metal-stabilized nanostructured Si as anodes for lithium-ion microbatteries. In NANOSCALE RESEARCH LETTERS. ISSN 1556-276X, 2014, vol. 9, no., pp.
    ISHCHUK, V. Profile simulations of plasma etching of silicon under consideration of charging effect and cryogenic condition. Dissertation, Technische Universität Ilmenau. 2014, 135 p.
    PARTEL, Stefan - DINCER, Can - KASEMANN, Stephan - KIENINGER, Jochen - EDLINGER, Johannes - URBAN, Gerald. Lift-Off Free Fabrication Approach for Periodic Structures with Tunable Nano Gaps for Interdigitated Electrode Arrays. In ACS NANO. ISSN 1936-0851, 2016, vol. 10, no. 1, pp. 1086.
    ZHOU, Na - LI, Junjie - RADAMSON, Henry - LI, Lin - JIANG, Qifeng - LI, Junfeng. Deep silicon etching for thermopile structures using a modified Bosch process. In JOURNAL OF MICRO-NANOLITHOGRAPHY MEMS AND MOEMS. ISSN 1932-5150, 2019, vol. 18, no. 2, pp.
    LEE, Myeong Ju - SHIN, Dong Ok - KIM, Ju Young - OH, Jimin - KANG, Seok Hun - KIM, Jumi - KIM, Kwang Man - LEE, Yong Min - KIM, Sang Ouk - LEE, Young-Gi. Interfacial barrier free organic-inorganic hybrid electrolytes for solid state batteries. In ENERGY STORAGE MATERIALS. ISSN 2405-8297, 2021, vol. 37, no., pp. 306-314.
    ADELEGAN, Oluwafemi J. - COUTANT, Zachary A. - MINHAJ, Tamzid Ibn - SEOK, Chunkyun - BILIROGLU, Ali Onder - YAMANER, Feysel Yalcin - ORALKAN, Omer. Fabrication of 32 x 32 2D Capacitive Micromachined Ultrasonic Transducer (CMUT) Arrays on a Borosilicate Glass Substrate With Silicon-Through-Wafer Interconnects Using Sacrificial Release Process. In JOURNAL OF MICROELECTROMECHANICAL SYSTEMS, 2021, vol. 30, no. 6, pp. 968-979. ISSN 1057-7157. Dostupné na: https://doi.org/10.1109/JMEMS.2021.3111304.
    HU, Xiao - ZHEN, Zhihan - SUN, Guotao - WANG, Qingkang - HUANG, Qiyu. Improvement on the uniformity of deep reactive ion etch for electrically isolated silicon-based substrates. In JOURNAL OF MICROMECHANICS AND MICROENGINEERING, 2022, vol. 32, no. 4, pp. ISSN 0960-1317. Dostupné na: https://doi.org/10.1088/1361-6439/ac56c9.
    HU, Xiao. Study on the Surface Roughness Improvement for Silicon Samples with Millimeter-Size Openings. In 2022 IEEE Asia-Pacific Conference on Image Processing, Electronics and Computers, IPEC 2022, 2022-01-01, pp. 1419-1421. Dostupné na: https://doi.org/10.1109/IPEC54454.2022.9777438.
    CategoryADCA - Scientific papers in foreign journals registered in Current Contents Connect with IF (impacted)
    Year1999
    Registered inWOS
    Registered inSCOPUS
    Registered inCCC
    article

    article

    rokCCIFIF Q (best)JCR Av Jour IF PercSJRSJR Q (best)CiteScore
    A
    rok vydaniarok metrikyIFIF Q (best)SJRSJR Q (best)
    199919981.662
Number of the records: 1  

  This site uses cookies to make them easier to browse. Learn more about how we use cookies.